SVEditor Changelog

What's new in SVEditor 1.5.2

Feb 12, 2014
  • (315) - Correct an issue with the task/function override-annotation finding code. In some cases, virtual methods from classes declared in included files would be marked in the active source file.
  • (nobug) - Add compilation-argument import support for -mfcu option
  • (enh) - During compilation-argument import, add support for executing the commands being intercepted by the compiler wrappers. Sometimes a compilation failure causes the entire process to terminate early.

New in SVEditor 1.5.1 (Feb 5, 2014)

  • Correct a NPE in the label provider when an unlabeled generate statement is inferred from a begin block within a generate block
  • Add defparam statements to the Outline view
  • Add content assist support for parameters declared on the containing module
  • Align content assist support for interface instantiation with the support already present for module instantiation
  • Enhance handling of undefined macros to reduce the number of follow-on parse errors.
  • Correct a bug involving missing include files due to incompletely-specified include directories. Previously, editors would still show the include files as missing even after correcting the include directories. Now, the editors show correct information.
  • Allow module declarations without a terminating ';'. This appears to occur when the module has a protected-content body.

New in SVEditor 1.5.0 (Jan 3, 2014)

  • Change content-assist label provider to display the type name of built-in net proposals (eg wire[14:0])
  • Add support for code completion of hierarchical references that use macros. For example: `TOP.sub.signal
  • Corrected a bug involving auto-indent of code templates when preference settings cause spaces rather than tabs to be inserted.
  • Add support for multiple content-assist contexts, which allows code templates to be selected based on how the content begins as well as the name associated with the code template.
  • Added default code templates for some commonly used structures: always_ff, always_latch, always_comb, if, else, do, while, for, case, casez, casex, function, task, initial, final, assert

New in SVEditor 1.4.9 (Dec 17, 2013)

  • #(298) - Correct a pre-processor bug involving comments inside a macro call
  • #(300) Natural Docs update - Correctly handle (start code) ... (end) blocks in comments
  • #(301) Leading white space at start of code section getting corrupted
  • #(302) Bullets in pop-up help
  • #(303) NDOC Parser - Links not showing up in summary table

New in SVEditor 1.4.8 (Dec 10, 2013)

  • Property parser bug corrected
  • Add preference setting for how auto-reparse is done within the active editor. If set to -1, re-parse is only performed when the file is saved. Otherwise, the setting is a delay for how long to wait.
  • Correct a parse bug in an associated-array initialization statement involving scoped identifiers.
  • Correct an indexer crash when the argument file contains a directory path rather than a file path. The indexer now ignores the directory path, and the argument-file parser adds an error marker.
  • Correct block-comment colorizing in argument files
  • Indenter behavior with "assert" and "randomize with" inconsistent
  • Correct a parse bug involving xor/or/and array-reduce methods

New in SVEditor 0.8.9 (May 29, 2012)

  • This version adds bugfixes and improvements to the way index information is shown to the user.

New in SVEditor 0.1.8 (Apr 18, 2010)

  • This release improves auto-indent by making it adaptive (makes a best attempt to follow the indents used in the file), fixes a few small bugs, and improves content assist with class-parameter awareness.

New in SVEditor 0.1.2 (Feb 15, 2010)

  • This release adds content-assist support for specialized parameterized classes, content-assist support for built-in methods of arrays and queues, and a class hierarchy view. Various bugs have also been fixed.

New in SVEditor 0.1.1 (Feb 9, 2010)

  • The 0.1.1 release of SVEditor adds support for source indenting and better support for auto-indent. Auto-indent is now enabled by default (users with existing workspaces will need to manually enable auto-indent).

New in SVEditor 0.0.9 (Jan 17, 2010)

  • Persistent caching of information parsed from SystemVerilog files, which dramatically reduces startup times.
  • New indexing strategies that improve the accuracy of SystemVerilog parsing
  • Updated and more-powerful content assist and cross-linking

New in SVEditor 0.0.6 (Mar 16, 2009)

  • Add support for 'open declaration' on included file
  • Re-add support for includes and macro definitions in the editor outline
  • Add support for open-declaration on a macro call
  • Add beginnings of 'Override/Implement Methods' action to the editor context menu
  • Prompts the user for tasks/functions in the super class hierarchy that can be overridden
  • Inserts task/function outlines
  • [Development] Add an extension point that allows SystemVerilog libraries to be packaged in a plug-in
  • Begin adding support for core SystemVerilog classes (string for now)
  • Add OVM 2.0.1 to as a built-in library
  • Fix DR 2683568 -- scanner problem when parsing incomplete begin-end block
  • Add basic support for DPI tasks/functions in the scanner
  • Fixed a problem with content assist on task/function parameter names
  • Added an icon for local variables and task parameters

New in SVEditor 0.0.5 (Mar 2, 2009)

  • Substantial changes to the preprocessor and code-structure analysis infrastructure.
  • Much improved content-assist support with expression parsing and class-hierarchy awareness.

New in SVEditor 0.0.4 (Jan 2, 2009)

  • This release introduces several new features to provide better navigation and content assist, as well as a few bugfixes.

New in SVEditor 0.0.3 (Nov 11, 2008)

  • Remove dependency on Java 6.0. Plug-ins now work with Java5
  • Add C-style comment parser. Editor is less confused by multi-line comments

New in SVEditor 0.0.2 (Oct 9, 2008)

  • Adds a 'live' view of the file structure in the Project Navigator pane (ie synchronized with the Outline view).
  • Interface and Module instances are now shown in the structure view.