GTKWave Changelog

What's new in GTKWave 3.3.41

Nov 1, 2012
  • Minor Tcl bugs were fixed.
  • A highlight_wavewindow rc variable and a related menu option were added, allowing signals to be highlighted in the wave window using the value for color_grid.
  • A use_standard_trace_select rc variable and related menu option were also added.

New in GTKWave 3.3.38 (Jul 12, 2012)

  • This version contains fixes to bring the Windows version closer to feature parity with the Unix and Mac ones.

New in GTKWave 3.3.35 (Apr 17, 2012)

  • Minor bugfixes.
  • Mouseover for MinGW is enabled.
  • A parallel thread mode is added to the FST writer.

New in GTKWave 3.3.32 (Feb 18, 2012)

  • This version adds gtk_print_unix_dialog support for printing to real printers by using the "UNIX" type.
  • It adds a transaction_args savefile tag and support for passing args to transaction filters via the args $comment.
  • It integrates alt_wheel_mode code.

New in GTKWave 3.3.31 (Feb 4, 2012)

  • Miscellaneous bugfixes.
  • Improved OS X/Quartz integration.

New in GTKWave 3.3.30 (Jan 18, 2012)

  • This version updates ./configure to add the --disable-mime-update flag, fixes --optimize for --restore, disables analog during mutually-incompatible mode selection (binary, filters, etc.), adds F/P/T flags to mouseover for the filters, and fixes a problem which caused ungrab to not occur if a button was pressed and a simultaneous reload accelerator key occurred.

New in GTKWave 3.3.29 (Jan 1, 2012)

  • This version added Mac OS X integration when compiled against gtk-osx.
  • MIME types and icons were added for file types and desktop menus.
  • A change was made from .sav (deprecated but not removed) to .gtkw, with .gtkw itself being able to bring up the original dumpfile.
  • Numerous bugs were fixed.
  • Preliminary GConf support was added, supporting session ID-based restore.
  • Preliminary GConf support emulates Mac OS X "open" functionality such that dumpfiles/savefiles can be targeted to an open GTKWave viewer or session ID.

New in GTKWave 3.3.27 (Oct 25, 2011)

  • This version fixes warnings from scan-build (LLVM) and Clang and fixes a Drag-and-Drop crash when compiled against +quartz +no_x11 on OSX/Macports.

New in GTKWave 3.3.22 (Jun 5, 2011)

  • This version optimizes the tree build so it can handle large amounts of component instantiations (netlists) without undue slowdown.
  • It adds support for user-specified timescale ruler using the ruler_origin and ruler_step rc variables.
  • It adds a "/View/Define Time Ruler Marks" menu option.

New in GTKWave 3.3.21 (May 2, 2011)

  • Fixed crash in LXT2 reader on malformed files.
  • Fixed reload crash when -o flag used on non-VCD files.

New in GTKWave 3.3.20 (Feb 25, 2011)

  • Fixed uninitialized mat variable in compress_facility().
  • Added --slider-zoom option to gtkwave to enable experimental horizontal slider zoom feature (GTK2).
  • Fix vcd2fst so it can handle 0 length VCD event variables in their declarations (MTI).

New in GTKWave 3.3.19 (Feb 8, 2011)

  • Component display was added for FST files (if dumped by the simulator).
  • The -C signal compression routine was rewritten.
  • Memory requirements for FST files were reduced.
  • A VPI dumper was added for FST for NC/XL.

New in GTKWave 3.3.18 (Dec 27, 2010)

  • Added extra allocation in fstWriterEmitValueChange in case users modify the FST_BREAK_ADD_SIZE to a very small value.
  • Fixed in lxt.c that --disable-inline-asm did not propagate into its compile.
  • Fixed x86_64 assembler =q vs =Q problem in lxt.c.
  • Preliminary support for variable length records in FST files.
  • Added fstUtilityBinToEsc and fstUtilityEscToBin for conversion of binary data to C-style strings.
  • Now allow escaped strings in VCD files to encode a richer set of data for non-standard "s" VCD records.
  • To comply with fst2vcd, vcd readers now handle "string" variable type keyword.
  • Scaled back multipler from 95 to 94 for VCD ID processingas !..~ is a distance of 94.
  • Add detection for Verilog XL-style VCD identifiers in all vcd loaders in gtkwave in order to aid in indexing.
  • Added --enable-struct-pack configure flag.
  • More warnings fixes.
  • Fix mif_draw_string so it does not emit escaped character codes.
  • Added gtkwave_server to distro but it is not currently in automake as it is not ready for use.

New in GTKWave 3.3.17 (Dec 1, 2010)

  • An emergency fix for an FST reader bug introduced in 3.3.16 when reading new FST files (ones that contain dynamic aliases). Do not use 3.3.16 to read new FST files.

New in GTKWave 3.3.15 (Nov 11, 2010)

  • Enhanced performance when writing FST files.

New in GTKWave 3.3.14 (Oct 27, 2010)

  • This release fixes force_open_tree_node() for dynamic SST trees when unbuilt nodes are encountered during traversal.
  • It allows VCD files where start = end time. Compiler warning fixes.
  • A preliminary RPC mechanism has been added to gtkwave.
  • A --disable-inline-asm ./configure flag has been added.
  • An initial_signal_window_width rc variable has been added.

New in GTKWave 3.3.13 (Sep 27, 2010)

  • Reduced memory usage for FST files.
  • Dynamically builds the SST tree to reduce SST memory usage.
  • The local version of libbz2 has been upgraded to 1.0.6.
  • Various bugfixes.

New in GTKWave 3.3.12 (Aug 30, 2010)

  • This release has an improved MinGW version to achieve better feature parity with the Linux/UNIX version.

New in GTKWave 3.3.11 (Aug 18, 2010)

  • This version adds Tcl callbacks such that Tcl scripts can camp on variable changes in order to monitor viewer GUI activity.
  • There are various bugfixes.
  • Cygwin performance has been increased for FST writing.

New in GTKWave 3.3.10 (Jul 17, 2010)

  • This version fixes runtime problems with FST files on OS X.
  • It fixes a free() problem that could occur with multiple tabs when using Tcl scripts.
  • VerilatedVcd compatibility fixes... for vcd2fst.
  • A fix for parallel build failures and missing dependencies.

New in GTKWave 3.3.9 (Jul 7, 2010)

  • A cut and paste crash fix.

New in GTKWave 3.2.0 RC1 (Dec 27, 2008)

  • Fix for strings ('s' type) in recoder.
  • Added timestart command to savefiles which indicates
  • what the leftmost position should be on reload.
  • Added support for as/zs small timescales as well as
  • 0.1/0.01/0.001 multipliers which simvision can emit.
  • Adding signal name DnD support from external apps.
  • Force open tree nodes on initial .sav file read.
  • Yet more new warning (-Wall) cleanups.
  • Fixed ExtractNodeSingleBit for vectors which do not
  • have a zero in either the msb or lsb (e.g., [1:9]).
  • Added support for dragging files into the viewer
  • (i.e., dumpfile, savefile, stems file)
  • Added DnD of signal names from RTLBrowse source code
  • windowsdirectly into gtkwave.
  • GTK1 compiler compatibility fixes.
  • Improved search performance from rtlbrowse initiated
  • DnD searches.
  • Fixed wave_locale.h for MinGW.
  • Fixed longstanding bug with blackout regions
  • rendering.
  • Integrating embedded Tcl interpreter.
  • Fixed bug with unformat time when base time is in
  • seconds.
  • Added tcl example in examples/ directory.
  • Updated -f argument file handling in vermin.
  • Updated tcl_helper code so that signalwindow drags of bit-
  • blasted vectors are properly re-coalesced for client code
  • for the drag.
  • Updated task definition in vermin so that identifiers with
  • dots in them can be used as task enable names.
  • Fixed problem in vermin preprocessor where defines in 0x0d0a
  • terminated lines would insert the carriage return into the
  • sourcecode.
  • Added synthesis pragmas which mirror synopsys ones to vermin.
  • Used gtk_window_set_default_size instead of gtk_widget_set_usize
  • in rtlbrowse in order to allow window to shrink appropriately.
  • Made size_tag values smaller in logfile.c in gtkwave/rtlbrowse.
  • Allow dragging from rtlbrowse window without needing to highlight signals first. This allows single signal drags.
  • GCC-4.1.3 with -O3 flag fix for x86_64 on xchgb instruction
  • assembler intrinsic.
  • Use gtk_window_set_transient_for() on simplereq windows.
  • Starting to update environment for rtlbrowse into a single
  • integrated window.
  • Adjust xthickness/ythickness in toolbars to make images
  • take up less space.
  • Preliminary support for text searching in rtlbrowse.
  • Added rtlbrowse case (in)sensitive searching both directions.
  • Fix re-entrancy on file names for file.c file requester in
  • gtkwave.
  • Add --with-tcl to configure script via tcl.m4 macro.
  • Cut down on visual noise during reload.
  • Added fix using TCL_INCLUDE_SPEC to get to compile under
  • Ubuntu.
  • Fixed lost num_cpus variable that wasn't passing through reload.
  • Added Cut/Copy/Paste functionality that allows multiple pastes
  • rather than destroying the cut buffer on paste. Cut buffer
  • contents will survive after a reload operation.
  • Removed translate filter process from mingw32 menu as it
  • is disabled in the compile by #ifdef'ing in empty functions.
  • Updated shmidcat so it restarts properly when VCD is being
  • looked at while sim is running and gtkwave gets ahead of
  • the generated VCD.
  • Fix crash on invalid node for force tree open during load.
  • Added Partial VCD Dynamic Zoom and related zoom_dynamic
  • rc variables.
  • Added prelim support for export to TimingAnalyzer file format.
  • Added mouseover support in signal window which shows full
  • facility name.
  • Added dynamic update on strings for marker values which keeps user from having to press enter explicitly for each one.
  • Now allow support for named markers using optional user names rather than just 'A'-'Z' labels.
  • Added countdown timer to remove dnd cursor if it is onscreen
  • longer than 5 seconds.
  • Added support for setting optional user names for named markers via Tcl scripts.
  • Added prelim auto-scrolling code for trace adds/copies.
  • Added support for Tcl repscripts.
  • Removed warnings found when compiling with -Wshadow.